CPU実験室

誰も見向きもしない古いCPUをいじって動かしてみようというプロジェクトです

CPLDロジック設計

イメージ 1

とりあえず旧LCD制御基板のCPLDのプロジェクトファイルをそっくりコピーしてきました。

全体の設計はXILINX WebPackの回路図入力ですが、水平垂直同期パルスの発生やV
RAMアドレスカウンタからなる心臓部のLCDCというモジュールの内部はVHDLで記述しています。