CPU実験室

誰も見向きもしない古いCPUをいじって動かしてみようというプロジェクトです

CPLD機能追加

イメージ 1

イメージ 2

パネル制御CPLDロータリエンコーダの信号を受けるシンクロナイザ、カウンタ
を追加しました。
さらにカウンタ出力とスイッチLEDマトリクスの入出力を外部バスへ接続するための
マルチプレクサもVHDLで記述しました。
上の図はかなり込み入ってますがユニット間を回路図入力で結線したものです。
ここまででマクロセル消費は77%で結構余裕ありました。