CPU実験室

誰も見向きもしない古いCPUをいじって動かしてみようというプロジェクトです

動作テスト

残りのハード周りは回路図入力どおりできていると信じてさっそく動作確認にはいります。
 
先ず、例によってもっとも単純なループテストから始めますが、
今回はパラレル8bitのLEDがあるので、ちょっと色をつけてこれにインクリメントデータを出力してみます。
いわゆるLチカデバッグです。
 
ポート出力はアキュームレータではなくデータメモリを介して行われるのでこんなかたち。
イメージ 3
リンカには以下のようなリンケージコマンドファイルを与えてプログラムROM、データRAMのロケーションを
指示します。
 
イメージ 2
 
リンカからはCOFF形式のオブジェクトが出力されるのでHEX変換ユーティリティで8bitROMへの
割付けを指示すると次のような上位・下位2つのHEXファイルが生成されます・・といっても各6バイト分ですが。
 
イメージ 4
 
 
 
 
 
 
 
 
これをそれぞれフラッシュROMに焼いて基板にセットして電源ON・・・
 
 
イメージ 1
 
左端の黄色いLEDが8bitパラレルLEDで見た目、全点灯してますが、
最下位ビットが500kHz、最上位ビットでも約4kHzで点滅しており、まず第一段階は成功です。