CPU実験室

誰も見向きもしない古いCPUをいじって動かしてみようというプロジェクトです

CPLD仮設計

CPU単体で動作を確認するためCPUに入力される信号でCPLDが生成しているものを確定しておきます。

CPLD(EPM7064S)の仮ロジックをQuartusⅡで回路図入力しました。REQ/WAIT関係はとりあえず「0」に固定、CPUから出力される周辺用クロックを分周してチェックできるよう74393相当のインスタンスも入れこみました。

 

基板設計時に適当に決めてしまったピン配置と合致させるためPinPlanerでピン再配置

コンパイル出力されたHDLやRTL表記でもそのままの内容のものができています

プログラムしたCPLDを基板に挿入しました。これでCPUソケットに各種信号が来ていることを確認出来たらCPUを挿しこめます