CPU実験室

誰も見向きもしない古いCPUをいじって動かしてみようというプロジェクトです

液晶表示器のテスト

イメージ 1

モニタからいくつかの初期化コマンドをLCDに送ってみたが動作しません。
そこでロジアナで信号線を当たってみると
LCDのR/W端子がコマンド書込み時にH、ステータス読出し時にLになっててまるで逆。

モトローラ系のバス同期信号Eクロックは正論理なのでR/Wもひっくり返していたミスでした。
CPLDのロジック書き換えですが回路図入力したAND1個をNANDに取り替えるだけで
コンパイル後のロジックをみると確かに直っています。

/lcdw = a4 * /a5 * /a6 * a7 * /dstb * /rw * /mio * /bs1 * /bs2
lcde = a4 * /a5 * /a6 * a7 * /dstb * /mio * /bs1 * /bs2

CPLDを使うとこういう修正が本当に楽です。