CPU実験室

誰も見向きもしない古いCPUをいじって動かしてみようというプロジェクトです

LCD動作OK

イメージ 1

CPLDを焼きなおしてみたらLCDの動作はOKとなったので
ライブラリにLCD制御の関数を追加しました

液晶コマンドセット void lcdcset(unsigned char x)
液晶データセット void lcddset(unsigned char x)
液晶初期化 void lcdini(void)
液晶カーソル制御 void lcdctr(int adr,int sw)
液晶文字列出力 void lcdstr(unsigned char *str)

それにしても電源表示の青LEDはまぶし過ぎ。
基板を覗きこんでデバッグしていると直視しなくても、しばらく補色のオレンジ色の残像がちらちら。
眼に悪そうなので低輝度のLEDに交換しよう。