CPU実験室

誰も見向きもしない古いCPUをいじって動かしてみようというプロジェクトです

2007-12-02から1日間の記事一覧

背照LEDの制御

CPLD内のLEDスキャンレジスタを外部バスからアクセスできるようにして H8側から見ると5ビットのレジスタが3個見えるようになっています。 この状態でH8のプログラムで背照LEDをランダムに明滅させました。 architecture Behavioral of LEDSCAN is signal REG…

制御ロジックのVHDL化

CPLDの回路図ファイルをWin98上のProjectNavigator4.2からXP上のWebPackISE8.2iに 持ってきたら74系TTLのモデルライブラリが無くなっているらしく歯抜けになってしまいました。 いずれVHDLで記述するつもりだったので機能モジュールごとに書き換えてこれをシ…